Re: [请益] 拿手机看盘 大家公司会管吗?

楼主: baseband112 (baseband)   2017-09-21 07:42:46
其实谢谢很多推我文的人喔
不管你是骂我或是啥的 只要有提供意见就是感谢您 小弟的考量有以下几点
1. 虽然我说手机看盘 可是我其他时间都很认真的把事情完成呀QQ
而且做不完事情我也都主动加班, 既然我愿意做额外时间
那其实我有些时间下单不为过吧? 因为其实我都会认真把事情完成
并非炒股不做事情好吗QQ
其实我个人是把写电路跟软件当休闲娱乐 所以其实没啥不做事情的道理呀
而且我愿意花额外的时间做事情怎么就不说!?
其实真要说 我以前其实上课也都没听课呀 可是我也不是故意的 就无法专心上课
上班我真的没办法专心维持那么久 其实我就是喜欢几个小时集中把一星期事情做完
2. 其实小弟我的 200多万 有部分是靠写案子来的,
所以真正目前每个月稳定的只有 130几而已 我的目标是明年起不用再做案子
所以薪水真的只能够生活 如果我没办法再透过其他管道获利 真的月光
所以投资跟薪水我真的都需要 目前我的确是投资只能每个月赚跟薪水差不多
我家一年要花掉大概120-130(粗估)的开销 所以如果我全职操盘就算每个月获利10几万
也大概会被薪水压垮 这样压力未免太大 所以小弟我是万不敢全职操盘的
目前的心理状态有点像这样以下这样, 小弟讲verilog好了QQ
// 没想到真的把这个电路写完 我一定是太闲了= =
// 这个nc 一定过不了 所以不要太苛责了= =
module mood_feel
(
input clk,
input rst_n,
input future_trend,
input have_trade,
input earn_money,
output spend_money,
output 发废文抱怨,
output feel_money_insufficient,
output [3:0] mood_status
);
reg feel_money_insufficient;
parameter STA_SAD = 4'd0;
parameter STA_HAPPY = 4'd1;
parameter STA_WORKHARD = 4'd2;
parameter STA_NOEARN = 4'd3;
parameter STA_NORMAL = 4'd4;
reg [3:0] mood_status;
reg [3:0] mood_status_next;
reg spend_money;
reg spend_money_next;
reg 发废文_next;
reg 发废文抱怨;
[email protected](posedge clk or negedge rst_n)
begin
if (~rst_n)
begin
mood_status <= 4'd4;
end
else
begin
mood_status <= mood_status_next;
end
end
always @(posedge clk or negedge rst_n)
begin
if (~rst_n)
feel_money_insufficient <= 1'b1;
spend_money <= 1'b0;
发废文抱怨 <= 1'b0;
else
begin
feel_money_insufficient <= 1'b1;
spend_money <= spend_money_next;
发废文抱怨 <= 发废文抱怨_next;
end
end
always @(*)
begin
spend_money_next = 0;
发废文抱怨_next = 0;
case (mood_status)
STA_NORMAL:
begin
if (future_trend == 1)
begin
mood_status_next = have_trade ? STA_HAPPY:
STA_NOEARN;
end
end
STA_HAPPY:
begin
if (earn_money == 1)
begin
spend_money_next = 1;
mood_status_next = STA_WORKHARD;
end
else
begin
mood_status_next = STA_NORMAL;
end
end
STA_NOEARN:
begin
mood_status_next = STA_SAD;
end
STA_SAD:
begin
mood_status_next = STA_NORMAL;
发废文抱怨_next = 1;
end
STA_WORKHARD:
begin
mood_status_next = STA_NORMAL;
end
endcase
end
endmodule

Links booklink

Contact Us: admin [ a t ] ucptt.com