Re: [请益] 年薪高但被砍月薪或是月薪高的选择

楼主: baseband112 (baseband)   2017-04-09 00:28:54
对不起喔, 借原文问一下
我归纳了一下这个版其实有个现象,
写块电路来分析一下
module 在网络讨薪资
(
output reg [100:0] result,
input clk,
input rst_n,
input serious,
input [100:0] user_talk
);
reg [100:0] comment;
always @*
if ( user_talk == 讲自己低薪 )
begin
comment = 你这鲁蛇
end
else if ( user_talk == 讲自己高薪 )
begin
comment = ( GG == 1 or MmNPR == 1 ) ? 唬烂, 你不在这家公司 :
( 没听过的公司 == 1 ) ? 唬烂的, 公司没这种薪水 :
( PO 薪资单 == 1 ) ? 唬烂的, 你一定修图 :
有种PO 薪资 ;
end
always @( posedge clk or negedge rst_n )
if (~rst_n)
begin
result <= 你就输了
end
else
begin
casex ( serious )
1'bx:
begin
result <= 你就输了
end
endcase
end
endmodule
作者: HardyJJ (JJHardy)   2017-04-09 00:31:00
可合成吗?颗颗
楼主: baseband112 (baseband)   2017-04-09 00:32:00
可以喔, 前面那段应该dc会全部化掉XD因为comment 没有 loading XDDD
作者: lovebridget (= =")   2017-04-09 00:34:00
结果你PO一大篇文在酸酸民 吃二次回收的屎酸民吃第第一次的屎 你跟屁股后面吃他们拉得屎
作者: d062637776 (Sylar)   2017-04-09 03:18:00
你认真了
作者: codefarmer (自信)   2017-04-09 03:55:00
本版确实不少人一听到比自己高薪就觉的对方唬烂 自卑感甚高 所以那些人的薪水就也只能一直停在他们那个level
作者: stosto (树多)   2017-04-09 04:46:00
其实用太多次了 文章不顺
作者: druid0214 (Assassin)   2017-04-09 06:37:00
问题在狂发文 洗版炫耀 还一堆人护航
作者: TravelFar   2017-04-09 10:35:00
看到程式码笑了
作者: SteamTank   2017-04-09 13:17:00
写那么一大串还是"你就输了" XD
作者: cplusplus426 (c++)   2017-04-09 13:23:00
你说的其实不错啦
作者: brightest (fff)   2017-04-09 13:31:00
?: 好像只能用在assign吧?
作者: core226 (新鲜屋)   2017-04-09 13:45:00
推推,不然别人会以为我文组的看不懂
作者: dnabossking (少狂)   2017-04-09 15:32:00
那怕每年只多1、2万(月薪),生活水准依然会有明显提升
作者: patrick1258 (1258)   2017-04-09 19:37:00
你serius了o
作者: RamonJames (一切都事与愿违万念俱灰)   2017-04-09 22:49:00
鲁蛇想法是比我高薪一定靠关系不然就爱巴结不然就唬烂
作者: aneshsiao (ed105905)   2017-04-11 00:49:00
我每年都超过三百,这样领十几年了,我依然觉得很穷干,买个车都还考虑东考虑西,连在南部买个透天都很喘,买了又卖了我女儿叫我是聪明的鲁蛇,还是鲁蛇无误三百真的不多,真的

Links booklink

Contact Us: admin [ a t ] ucptt.com