[新闻] 英特尔2019-2029年制程路线图,十年内从1

楼主: zxcvxx (zxcvxx)   2019-12-13 18:23:33
英特尔2019-2029年制程路线图,十年内从10奈米至1.4奈米
1.原文连结:
http://bit.ly/2slm7sA
2.原文内容:
https://imgur.com/HLhagSN
图、英特尔2019-2029年制程路线图
Source: ANANDTECH, 2019/12
说明:若对上图有任何不同意见,请来信讨论。
英特尔发布未来十年的制造路线图-2021年为7nm,2023年为5nm,2025年为3nm,2027年为
2nm,2029年为1.4nm。该路线图释出是由一位英特尔合作伙伴ASML在IEDM国际电子设计会
议上公布的,但实际上也是基于英特尔于2019年9月发布的内容而修改的。
英特尔先进半导体制程延宕多年后,从英特尔公布的公司2019年Q3财报会议中,透露了英
特尔已有晶圆厂开始大批量产10奈米芯片,未来也将建立新厂以大规模生产10奈米芯片。
其实,早在5月,英特尔就公布了先进晶圆制程路线图,介绍到2023年的目标计划,但根
据外媒Anandtech透露了更详细的制造路线图直至2029年的路线图。
未来十年内10nm至1.4nm
从流程路线图来看,英特尔将按照每两年一次主要节点更新的节奏进行。至于新产品发布
也是依据每两年一次的节奏,据知在2019年推出了10nm(10nm +),随后将在2021年发布
7nm,在2023年发布5nm,在2025年发布3nm,在2027年发布2nm,在2029年发布1.4nm。因
此,遵循这条每两年一次节奏,但是在 + / ++节点就需要做到每年一次的节奏,以便在
现有节点上提供更多的性能杠杆和可扩展性机会。
在讨论每个制成过程的优化节点之前,重点应该在每个主要节点更新必须提供的关键功能
。同时,在开发下一代制程时,还须为+ / ++节点更新版推出计画做准备。
从7奈米来看,英特尔表示最大的特点是它使用EUV(极紫外光)技术制程。同样,所有其
他主要节点都将具有新功能,但是英特尔并未明确说明新功能。在英特尔推出其10nm ++
产品的同时,他们还将为其下一代7nm节点计划生产和发布。英特尔在2019年投资者会议
上已经详细介绍了10nm和7nm节点。
英特尔10nm、10nm +和10nm ++
从10nm系列开始,英特尔已说明他们的10nm节点可以提供每瓦性能的一些重大增强。与
14nm ++相比,10nm的第一次迭代显示出效率飞升,英特尔计划提供10nm的增强版本,其
中2019年为10nm +,2020年为10nm ++,2021年为10nm +++。10nm的重大升级将包括:
1. 2.7倍密度缩放和14nm
2. 自对准四边形
3. 主动门接触
4. 钴互连(M0,M1)
5. 第一代Foveros 3D堆叠
6. 第二代EMIB
英特尔7nm,7nm +和7nm ++
在英特尔推出10nm +++产品的同时,他们还将为其下一代7nm节点计划生产和发布。英特
尔将继续提供7nm工艺节点的优化,包括2022年的7nm +和2023年的7nm ++。7nm将优于
10nm的增强功能,其中包括:
1. 2倍密度缩放vs 10nm
2. 计划内的节点优化
3. 设计规则减少4倍
4. EUV
5. 下一代Foveros和EMIB包装
向后移植以达到每个尾端节点优化
至于,该2019-2029年路径图还讨论了向后移植(Back Porting),这是个新议题之一,若
依据过去围绕14nm和10nm节点的优化纷扰,并已显示每个主要节点至少包括两个优化。
10nm +将取得10nm ++和10nm +++,7nm将取得7nm +(2022)和7nm ++(2023),5nm将获
得5nm +(2024)和5nm ++(2025),3nm将获得3nm +(2026)和3nm ++(2027),而2nm
也将获得2nm +(2028)和2nm ++(2029)。但,该释出的10年路线图并没有提到1.4nm的
优化路径。
英特尔2021-2029制程路线图:-10nm,7nm,5nm,3nm,2nm,1.4nm
因此,未来,每个主要节点之后都会有一个优化的“ +”节点,之后是一个进一步的尾端
优化的“ ++”节点。而在10nm情况下,“ ++”节点(在10nm情况下为+++节点)将与下
一个主要节点一起启动。与新节点相比,优化后的节点将具有一些优势,例如:前两次更
新的频率和可伸缩性以及更高的产量。
因此,有以上各种节点优化变化,英特尔可以决定做出一些更弹性的选择,因为它们在每
一代节点上都有多种选择的路径。现在,考虑到该路线图的时间表,英特尔可能已经决定
10nm和7nm的下一步计划。
该路线图英特尔还讨论了在较旧但经过优化的节点上进行反向移植的问题。可以将7nm产
品反向移植到10nm +++,可以将5nm产品反向移植到7nm ++,可以将3nm产品反向移植到
3nm ++,而可以将2nm产品反向移植到3nm ++。对于1.4nm节点,没有提到反向移植。
最近,有关于英特尔将10nm ++产品(Tiger Lake)移植到14nm +++(Rocket Lake)的谣
言和讨论。虽然已经找到了充分的证据,但是由于该产品的目标是在2021年推出,因此英
特尔对此没有官方消息。但是,考虑到该路线图讨论的是反向移植,确实可以看到
Rocket Lake CPU具有Willow Cove内核的反向端口,这些内核将有机会在10nm ++节点应
用于移动平台上运作。
须注意的是,10nm是唯一经过+++优化的制程,因为它已在2019年投入10nm +的应用。目
前看来,1.4nm 目标订在2029年,但是从过去英特尔在实现10nm从原来2015年推迟到2017
年来看,2029年预计推出1.4nm计画,可能要更加把劲了。最近,英特尔首席执行长Bob
Swan表示,英特尔公司将全力以赴来追赶台积电,例如英特尔的首批7奈米产品将在2021
年第四季之前以追赶台积电的5奈米制程,并希望英特尔早日达到5奈米制程,并在2024年
下半年和2025年计画新产品上市。
台积电方面
目前,台积电制程推进还是维持每两年推进一个世代。台积电的7奈米EUV已于2019年第二
季开始量产,5奈米制程将更积极建置并预计明年(2020)上半年量产,3奈米已进入研究阶
段预计2022年量产,2奈米也正规画中预计2024-2025年量产。台积电董事长刘德音则表示
,未来先进制程不一定会按照摩尔定律的速度。过去摩尔定律靠密度,现在半导体进展不
只制程微缩,不再以线宽尺寸度量,而是以逻辑密度或运算能力作为指标,并已扩展到
3D IC、云端设计,所以,未来与客户关系更加紧密,跟客户一起做架构创新。
结语
目前,晶圆制造进入10奈米以下者,仅剩从台积电、英特尔及三星等三家,从以上两家晶
圆制造大厂对先进制程的规划来看,虽然以每两年推进一个世代,但越到更小奈米时,已
有趋缓现象,正如刘德音董事长所言,未来先进制程不一定会按照摩尔定律的速度,同时
,不只制程微缩还要考虑逻辑密度或运算能力。
3.心得/评论:
Intel之前就公布过制程路线图了,规划内容大同小异。intel能持续端出相关的生产规划
固然是能提振蓝色阵营的信心,只希望以后在产能上面不要再遇到乱流导致出货延迟的问
题。
作者: henryyeh0731 (台中都咪Juicy)   2019-12-13 20:07:00
到底是牙膏会先量产7nm还是烙印海贼王先完结呢?

Links booklink

Contact Us: admin [ a t ] ucptt.com