[问题] Matlab传资料到FPGA中

楼主: cadence (小工程师)   2016-04-08 21:46:36
大家好,小弟最近在做研究,希望可以透过Matlab将图片用UART
的方式把资料传到板子上,运算完之后再传回给PC,找了一些资
料发现大多是讨论从板子利用UART把资料传给PC,再用Matlab设定
COM和baudrate去取值,好像没有看到用Matlab在PC端传给板子的
方式,想请问一下有什么方式可以实现上叙方式或著是关键字可以
进一步查询。谢谢~
目前是利用Matlab将图片转成binary格式的txt,但后续传值给板子
还没有什么头绪,因为板子有UART port所以直觉想用UART,而且也
没有real time的要求

Links booklink

Contact Us: admin [ a t ] ucptt.com